ログインしてさらにmixiを楽しもう

コメントを投稿して情報交換!
更新通知を受け取って、最新情報をゲット!

Sawasdee FPGA !!コミュのISE8.1のバグ?

  • mixiチェック
  • このエントリーをはてなブックマークに追加
こんにちは。
管理人さんとは一度お会いしたことがあります。よろしくお願いします。
XilinxのISE6.1iでいろいろデバックしていたプロジェクトをISE8.1i SP2に変換して論理合成しようとしたところ、途中で止まってしまいます。論理合成はSynplify Proを使用しているのですが、XSTに変えても同様です。どうやら論理合成ツールを起動していないような感じなのですが、そのような事例はご存知ですか?
止まるというのはISEが落ちるたり反応がなくなるのではなく、仕事を止めちゃっている感じでエラーも出ません。
ちなみに仕事用の2つのプロジェクトだけです。チップはVirtex2です。そのほかのプロジェクトは論理合成、インプリメントできています。TEDに相談したらプロジェクトを全て送れとのことでした。それはいやなのでそのままにしてあります。
何か情報がありましたら、教えていただければと思います。

コメント(25)

ありがとうございます。
よろしくお願いします。

ISE8.2iが4月に出るということなので、それを待っていようかと思っていたところでした。

そういえばSP2が出る前にISE8.1iのプロジェクトに変換したので、もう一度やってみます。
SP3あてましたが、やはり同じでした。
ISE7.1もあやしいのでISE6.3i使っています。
こんにちは

いろいろと調べていただいてどうもありがとうございました。
ISE8.2を待っていて、それでもだめならば東エレに全部ソースを投げて調べてもらおうと思っています。
まだうちは去年買ったチップなのでV2proで基板を作っています。本当はRocketIOとPCIexpressにしたかったのですが、基板作成費がかなり高額になったため、PCI−Xになりました。
それにV4はピン数の多いものがないようなので、使いにくいという面もあります。

ISE7はチップスコープのおかしさやPlace & Routeの特定のコストテーブルで落ちる、coregenもJVMのメモリを増やさないと再度カスタマイズできないなどで使っていません。
http://marsee101.blog19.fc2.com/blog-entry-24.html

いろいろ聞いていただきありがとうございました。これからもよろしくお願いいたします。
いろいろご回答ありがとうございます。
やはりいろいろ不具合が出ているのですね。

>弊社の社員などはChipscopeを入れているときは階層付で合成しており,SynthesisはパスしてImplementできています.

これはXSTでしょうか? Synplifyでも同様にできるのか調べてみようと思います。ろくにマニュアルも読まないで使っているので。

ISE8.1iは、院生の青○くんがiMPACTでファイルを選ぶときのダイアログがWindowsのコモンダイアログを使っていないのではないか?と言ってました。それで不具合が出ているそうです。今までできていたことができないといっていました。
はじめまして>各位

>止まるというのはISEが落ちるたり反応がなくなるのではなく、仕事を止めちゃっている感じでエラーも出ません。

試しにdos窓からプロジェクトのフォルダに移動し、コマンド直打ちでやってみてはどうでしょう?落ち方が見れるかもしれません。それがなんらか手がかりになれば良いのですが・・。

Xilinxのツール、時々プロジェクトフォルダ内にゴミを残して、その為にまともに動かなくなった事は今までにあります。
その時の回復手段として、プロジェクトを新規作成し、トップデザインから順番にcopy of sourceで前のプロジェクトからもってきて、手動再構築をやったことあります。(かなり手間ですが)

まぁ、こんなことせないかんツール自体、勘弁してほしいですが・・・。
kazuma@yhさん、アドバイスありがとうございます。
そうですね。コマンド直打ちでやってみます。
あまりやったこと無いですが、Xilinxのセミナーで講習受けてきたので大丈夫だと思います。
プロジェクトの作り直しもやってみます。プロジェクトがおかしくなってこれを何度もやったことがあります。面倒ですが仕方ありませんね。
コマンド直打ちだとOKでした。translate, MAP, PAR, BITGENでビットファイルができました。
おかしいのはSynplifyとかXSTとかのプロジェクトを作るところみたいです。

QTではなくMax+plus2の時代しか本当に使っていませんが、細かい設定ができなかったです。
ISEは使いこなすのが大変です。それだけに使いこなし甲斐があるような気がします。
コマンド直打ちOKということは、iseからコマンドが発効がされてないんですかねぇ・・?

プロジェクトファイルがise6.1から、6.2/6.3/7.1をすっ飛ばして8.1に移行してるので、うまくプロジェクトがコンバートできてないのではないでしょうか?
iseのテスト工程を思い浮かべて・・7.1からの移行はテストが抜けなくできてるが、それ以前のバージョンからのテストは抜けがあるのでは???と勘ぐってしまいました(^_^;;
すみません。今やっていたはISE6.3iSP3からISE8.1iSP3へ変換した場合です。
以前はISE7.1iSP最終(忘れました)からISE8.1iSP1(だったかな?)に変換してもだめでした。
とにかくタスクマネージャーで見てもSynplifyが動いていなし、Synplifyのプロジェクトが再作成してくれるはずがしてくれないみたいです。XSTでやってみても同様の感じです。何が悪いのかさっぱりわかりません。
やはりISE8.2iを待つことにします。
皆様、いろいろアドバイスありがとうございました。
ISE関連について、あまり詳細は話せませんが、情報を流します。
ISEの詳細な中身については奇数バージョンは、ちょうど新規デバイス発売と同時にバージョンアップ傾向があり、現状は安定はしていません。
むしろ、偶数の方が安定性があります。
もっと裏話をすれば、ISEバージョン数字がありますよね?それは、メーカー内で担当が分かれている為、若干ソフト開発者の癖みたいなのがあるようです。
そんな感じです。
また、V4FXを使用を考えている方へは、代理店の方に詳細は常々確認した方が良いですよ。メーカーとしては、ステップ方式にて性能を出していく方向性を出しています。
些細な情報ですが、提示しておきます。
FPGAの営業経験(1年足らずだけど)からして、ちょうどISE7から8って、ISE BASE-Xの廃止及び無償化への変化ありましたよね?
そんな変化などがあって、実際営業上ではどうもISE7は人気が無かった。(V4とかの絡みでタイミングが取れずらいなどの声があり。)
あと、基本的に奇数NGって訳ではないですよ。ただ、奇数は修正が多かったりと、結構あるんです。(新規デバイスが出る頃に同時に発表になる為)
その為、出来れば次期バージョンアップの方が安定が図れる関係上の話です。
ただ、実際奇数より偶数の方が使いやすいとはお客からは聞きます。
ISEは現状8.1ですが、8.2が早くて今月リリース予定との情報が。より安定するとの話を聞いています。(営業ベースですが)
実際、使われているデバイス規模からして、相当大きなデバイスなんで、実際代理店の方と付き合いがあるようでしたら、詳細な上記の技術資料など提出してもらった方が良いと思います。
求める性能にもよりますが、ロケットIOなど使用されるようでしたら、安定性から営業的にはV2PROを勧めます。
V4LXはC品が出始めているんで、まだ安定性はあるかと思いますが。
そんな感じです。
V2proでロケットIO使おうとすると制限が多くて困りました。もうちょっと他に影響しないようにできないのでしょうか?
もうすぐ、ISE8.2が出そうですね。プロジェクトがインプリメントできるかどうか楽しみです。
>まさ畜さん

情報ありがとうございます。
ご存知のとおり、うちのアプリではピン数が問題なので、V2PROのRocketIOの制約もあって、(最大の問題は値段)RocketIOの使用を止めました。周波数が高いのでしょうがないでしょうね。
初めから教えておいてほしかったです。ぜんぜん考慮していなかったので。。。

ダウンロードケーブルはそうですか。パラレルケーブル4のほうが早いそうで。前にUSBは使って懲りていたんですが、すすたわりさんに今度はボードの電源落としても大丈夫だと聞いたので、購入しました。しかし、まだ使っていません。コンフィグはノートでやりたいのですが、もうパラレルコネクタなくなってきて厳しい状況です。
>まさ畜さん

またまた情報ありがとうございます。
こういう情報がうれしいです。一人だと悩むことも多いので。。。ブログもそういう情報をコメントでもらえるかなとも期待したのも始めた動機の1つです。

うちのUSBケーブルを確認してみます。
ISE8.2iが来たのでインストールして再度やってみましたが、やはりISE6.3iのプロジェクトをISE8.2iのプロジェクトに変換してみるとやはりだめでした。
次にプロジェクトを作り直してみたところ論理合成、インプリメントできるようになりました。
ISE8.1iの時もプロジェクトを作り直してやってみたと思っていたのですが、何かミスっていてだめだったのかもしれません。
とりあえずISE8.2iでプロジェクトを作り直して問題なくインプリメントできるようになってうれしいです。
どうもお騒がせしました。答えていただいた方、ありがとうございました。

ログインすると、残り9件のコメントが見れるよ

mixiユーザー
ログインしてコメントしよう!

Sawasdee FPGA !! 更新情報

Sawasdee FPGA !!のメンバーはこんなコミュニティにも参加しています

星印の数は、共通して参加しているメンバーが多いほど増えます。

人気コミュニティランキング